CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 16 cpu VHDL

搜索资源列表

  1. cpu16

    0下载:
  2. 一个16位cpu的vhdl代码。具体内容我也不清楚,自己慢慢研究吧-a 16 cpu of VHDL code. Specific content is not clear to me that their study it slowly
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3121
    • 提供者:王林
  1. cpuTerminate

    0下载:
  2. 用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。-use VHDL to prepare a 16 cpu design of the program, the implementation of eight instructions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2109040
    • 提供者:宋文强
  1. the-design-of-16-bit-cpu

    0下载:
  2. 用vhdl硬件语言设计的16位cpu,上传的压缩包既包含源代码又包含详细的文档说明。-with vhdl hardware design language of the 16 cpu, Upload compressed contains both the source code also contains a detailed document shows.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:128112
    • 提供者:晶晶
  1. cpu-16-vhdl

    0下载:
  2. 16位cpu的vhdl源代码。 自己看看,没有注释。-16 cpu vhdl the source code. See for yourself, not Notes.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:94995
    • 提供者:童宗挺
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. 使用verilog hdl实现16位的cpu设计

    1下载:
  2. 实现16位的cpu设计 内容使用verilog hdl实现,具体的实现步骤方法,都已经写到文档里面去了!,To achieve 16-bit design of the contents of the cpu using verilog hdl achieve, the specific methods to achieve these steps have already been written inside the document went to!
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-21
    • 文件大小:440568
    • 提供者:gimel_sh
  1. CPU

    1下载:
  2. 用VHDL编的简易16位和8位CPU,可完成加减乘法移位等功能,拥有源码和设计文档,资料齐全-Compiled with VHDL simple 16-bit and 8-bit CPU, to be completed by addition and subtraction multiplication shift functions, with source code and design documents, data and complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1489667
    • 提供者:雄鹰
  1. 计算机设计与实践实验 16位cpu设计

    1下载:
  2. 计算机设计与实践实验 16位cpu设计 使用用VHDL语言 -16-bit cpu design with VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-11
    • 文件大小:1025851
    • 提供者:yuwentao
  1. CPU

    0下载:
  2. 16位简单cpu用VHDL语言实现。里面有好几个的》-16-bit cpu with a simple VHDL language. There are several of the "
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3181484
    • 提供者:pjj
  1. cpu

    0下载:
  2. 16位元浮点数CPU,可作运算,以VHDL编写-16-bit floating point CPU, can be used for computing in order to prepare VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2334
    • 提供者:朋友
  1. CPU

    0下载:
  2. CPU编程,比较低层的硬件编程的 chm 资料文件--
  3. 所属分类:Windows Kernel

    • 发布日期:2017-05-12
    • 文件大小:2714707
    • 提供者:张希行
  1. cpu-16-vhdl

    0下载:
  2. 用vhdl语用实现简单的16位cpu功能-Pragmatic use vhdl simple function of 16-bit cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:94999
    • 提供者:陈曦
  1. cpu16

    0下载:
  2. Verilog下描述16位CPU,虽然有点简单,但具有一定的可读性,内附夏宇闻老师的8位CPU文档-Verilog descr iption of 16-bit CPU, though a bit simple, but with a certain degree of readability, XIA Yu-Wen teachers containing 8-bit CPU Documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:231274
    • 提供者:张文龙
  1. micro

    0下载:
  2. 16 cpu design VHDL source code, including alu, clock, memory and other parts of the design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:30930
    • 提供者:mojo
  1. cpu_16bit

    1下载:
  2. design cpu 16 bits by verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1234
    • 提供者:tommy
  1. 16-bit_cpu_design

    0下载:
  2. 详细介绍了如何设计一个简单的16位cpu.其中包含了从最基础的指令系统开始到最复杂的cu控制器的设计思路,方案.最后还介绍了一些有关vhdl语言的用法,并给出了具体的cpu部件的vhdl代码,从而帮助大家更为深刻的学习如何设计一个简单的cpu-Described in detail how to design a simple 16-bit cpu. Which contains the most basic instruction from the beginning to the most
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-06
    • 文件大小:1051306
    • 提供者:罗高
  1. cpu

    0下载:
  2. 16位的CPU的VHDL程序~~还有附加的生成波形,可以应用于课程设计中-16-bit CPU, VHDL ~ ~ There are additional procedures for generating waveforms, can be applied to curriculum design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1053544
    • 提供者:liuying
  1. CPU

    0下载:
  2. VHDL16位cpu,能实现加减法移动等指令-vhdl 16 cpu,include add,sub,move and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:19116
    • 提供者:王军
  1. 16位CPU设计

    0下载:
  2. 给定指令系统的处理器设计,VHDL语言,包括代码和仿真波形
  3. 所属分类:VHDL编程

  1. CPU_16bit

    0下载:
  2. 一个五段流水的16位cpu vhdl源码,可综合也可仿真(A five section of the 16 bit CPU VHDL source code, can be integrated can also be simulated)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:3410944
    • 提供者:sunrihui
« 12 3 »
搜珍网 www.dssz.com